首页/文章/ 详情

如何区分Info与CoWoS封装?

1年前浏览2069

       Info封装与CoWoS封装是目前2.5D封装的典型代表,同属于TSMC开发的2.5D封装,那么如何区分 Info封装与CoWoS封装呢?主要从以下方面进行阐述。

 1、定义

       Info全称为Integrated Fan-Out,意为集成式扇出型封装,定义中的重点一为集成,另一方面,此封装必须为扇出型封装。提到Info封装,首先要先说一下FOWLP(Fan-Out Wafer Level package)封装。传统的WLP在切割前进行封装,虽然减小了封装尺寸,但是使I/O数量受到了限制,为了满足I/O数量增多的需求,FOWLP应运而生。FOWLP使用扇出型技术,通过RDL层,将Die表面的触点扩展到Die的投影面积之外,增加了凸点布置的灵活性以及增多了引脚数量。通常情况下的FOWLP封装的特点为尺寸较小,无基板,塑封封装。如下图所示,Info封装在某些方面与FOWLP具有相同的特点,而同时又在其上进行了发展。

图片来源:TSMC Info 封装

       CoWoS全称为Chip on Wafer on Substrte,也就是说,此种封装类型中必须包括Wafer(或与Wafer有相同功能的结构)以及Substrate。

2、Interposer种类

        Interposer在2.5D封装中起着至关重要的作用,不同的Die通过Interposer实现电气互联,具有更快的传输速度。刚刚接触2.5D封装的同学很容易被CoWoS中的“W”误导,产生先入为主的印象,即Interpose=硅中介层。实际上,Interposer主要包含三种类型:整块的硅板、硅桥以及高密度I/O的RDL层。

3、Info封装的种类

        一般而言,Info封装包含三种类型:Info_oS、Info_PoP以及Info_LSI。

       3.1 Info_oS

        Info_oS全称Integrated Fan-out on substrate。此种封装将多个高级逻辑芯片一起封装,并通过RDL层进行互联,由于封装尺寸较大,仅仅使用RDL层无法满足封装的强度要求,因此增加了substrate。

                                 图片来源:   TSMC Info 封装       

     3.2 Info_PoP

     Info_PoP封装全称为Integrated Fan-out Package on Package,是FOWLP与PoP封装的结合体。此种封装将不同类型的芯片在垂直方向上堆叠在一起,下层为FOWLP封装的芯片,上层为DRAM等被动芯片,封装之间通过TIV(Through Info Via)进行电气互联。

图片来源:Chip Industry Maps Heterogeneous Integration - EE Times

     3.3 Info_LSI

     Info_LSI封装全称Integrated Fan-out_Local Silicon Interconnect,此种封装使用硅桥以及RDL层代替整块硅,达到了性能与成本的平衡。

图片来源于网络

  4、CoWoS封装的种类

       4.1 CoWoS-S

       实际上CoWoS封装也分为三种类型,我们通常所说的CoWoS,一般意义上指的是CoWoS-S,这里的S为Silicon,即Interposer为整个硅片,这种CoWoS类型是成本最高的,但在性能上也是最好的。

图片来源:一文读懂CoWoS技术

     4.2 CoWoS-R

     CoWoS-R,这里的R指的是RDL,即封装使用高密度I/O的RDL层作为interposer,CoWoS-R和Info-oS具有相似的结构,从外观上很难进行区分。

图片来源于网络

     4.3 CoWoS-L

     CoWoS-L,这里的L指的是Local的意思,即使用局部的硅桥进行芯片之间的电气互联,硅桥以外的位置使用RDL层或substrate进行代替,CoWoS-L与Info_LSI 具有相似的外观结构。

图片来源于网络

声明:本文章仅供交流及学习


来源:芯片封装设计与制造
芯片
著作权归作者所有,欢迎分享,未经许可,不得转载
首次发布时间:2023-06-20
最近编辑:1年前
陈皮糖
硕士 签名征集中
获赞 51粉丝 12文章 9课程 0
点赞
收藏
未登录
还没有评论
课程
培训
服务
行家
VIP会员 学习 福利任务 兑换礼品
下载APP
联系我们
帮助与反馈