首页/文章/ 详情

深度解析光刻机行业报告

1年前浏览871

冲云破雾,国产替代迎曙光。

目前全球前道光刻机被ASML、尼康、佳能完全垄断 ,CR3高达99%。在当前局势下,实现光刻机的国产替代势在必行,具有重大战略意义 。在02专项光刻机项目中,设定于2020年12月验收193纳米ArF浸没式DUV光刻机, 其制程工艺为28纳米。考虑到此项目作为十三五目标,未来具有较大的明确性,结合 28nm作为当前关键技术节点的性能和技术优势,我们认为光刻机国产替代将迎来新 的曙光,尤其是IC前道制造领域,将初步打破国外巨头完全垄断的局面,实现从0到1 的突破。

按图索骥,追根溯源寻标的。

通过对即将交付的28nm光刻机进行剖析,建议关注以举国之力助力国产替代的光刻产业链,一是光刻机核心组件:负责整体集成的上海微电子、负责光源系统的科益虹源,负责物镜系统的国望光学,负责曝光光学系统的国科精密,负责双工作台的华卓精科,负责浸没系统的启尔机电;二是光刻配套设施: 包括光刻胶,光刻气体,光掩模版,光刻机缺陷检测设备,涂胶显影设备等。

1


 

光刻机投资逻辑框架

1.1国产光刻机产业链:按图索骥

在02专项光刻机项目二期中,设定的时间为2020年12月验收193nmArF浸没式DUV光刻机,对标产品为ASML现阶段最强DUV光刻机:TWINSCAN NXT:2000i。以NXT:2000i为例,各子系统拆分如下:上海微电子负责光刻机设计和总体集成,北京科益虹源提供光源系统,北京国望光学提供物镜系统,国科精密提供曝光光学系统,华卓精科提供双工作台,浙江启尔机电提供浸没系统。

1.2 ASML光刻机产业链:集成全球工艺

ASML最先进的浸没式光刻系统:DUV——全球工艺集大成者

TWINSCAN NXT:2000i DUV(双工作台深紫外光刻机)是ASML最先进的浸没式光刻系统 ,是极紫外光刻机EUV前的重要过渡产品,也是后期7nm/5nm产能的重要补充。

从上下游利益链条看ASML是如何成功的

ASML的成功=上游供应商的顶尖技术+下游厂商的巨额投资+开放性研究网络。 

高端光刻机集 合了全球各国最顶尖的科技,如:德国的蔡司镜头技术、美国的控制软件和光源 、日本的特殊复合材料等,下游厂商为了获得优先供货权纷纷投入巨额资金支持ASML研发。

1.3 光刻机公司地图概览:前道光刻,一家独大

1.4 从0到1,国产光刻机如何破局

中国晶圆代工需求占全球代工总需求比重日益提升。根据IBS显示,2018年中国IC设计公司对晶圆制造需求约805亿元,占全球晶圆代工规模4,088亿元的19.7%,到2025年时需求上升涨至 30.5%。在这样的格局下,中国对于半导体制造设备的需求以及资本投入将会日益提高。

随着中国大陆代工厂的不断扩建,未来对于国产光刻机的需求不断提升,而当前国内与国外顶 尖光刻机制程仍存在较大差距,国产光刻机应从如下几个方面寻求突破:

1、产业分工:国内涉 及相关光刻机零部件的企业形成产业分工,各取所长研发、提供相应的技术和零部件;

2、科研 投入:目前国内企业仍存有买办思维,光刻机作为人类智慧的结晶,高科技产物,科研投入必 不可少;

3、技术突破:汇集顶尖人才对于核心技术优先突破;

4、人才积累:注重奖励机制。

2


 

光刻机详解:现代光学工业之花

光刻机:半导体工业皇冠上的明珠。光刻工艺定义了半导体器件的尺寸,是芯片生产 流程中最复杂、最关键的步骤。光刻机是光刻工艺的核心设备,也是所有半导体制造 设备中技术含量最高的设备,集 合了数学、光学、流体力学、高分子物理与化学、表 面物理与化学、精密仪器、机械、自动化、软件、图像识别领域等多项顶尖技术。光 刻的工艺水平直接决定芯片的制程和性能水平。

光刻机的演变及历史性转折。根据所用光源改进和工艺创新,光刻机经历了5代产品 发展,每次光源的改进都显著提升了光刻机所能实现的最小工艺节点。在技术节点的 更新上,光刻机经历了两次重大变革,在历次变革中,ASML都能抢占先机,最终奠定龙头地位。 

顶级光刻机的尖端工艺。目前业内最先进的是采用波长13.5nm极紫外光的第五代 EUV光刻机,可实现7nm工艺制程,技术要求极高,单台价值为1.2亿欧元,ASML成 为全球唯一一家能够设计和制造EUV光刻机设备的厂商。

光刻:IC制造中的关键环节

半导体芯片产业链分为IC设计、IC制造、IC封测三大环节。光刻的主要作用是将掩模版上的芯片电路图转移到硅片上,是IC制造的核心环节,也是整个IC制造中最复杂、最关 键的工艺步骤。 

通过激光或电子束直接写在光掩模板上,然后用激光辐照光掩模板,晶圆上的光敏物质因感光而发生材料性质的改变,通过显影,便完成了芯片从设计版图到硅片的转移。


光刻:IC制造中的关键环节

光刻工艺定义了半导体器件的尺寸,是IC制造中的关键环节。 

作为芯片生产流程中最复杂、最关键的步骤,光刻工艺难度最大、耗时最长, 芯片在生产过程中一般需要进行20~30次光刻,耗费时间约占整个硅片工艺 的40~60%,成本极高,约为整个硅片制造工艺的1/3。 

一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝 光、后烘、显影、硬烘、刻蚀、检测等工序。


双重图案技术:用于增加一倍图案密度

最简单的多重图案工艺是双重图案,它将特征密度提高了两倍。 最广泛采用的双图案化方案之一是双曝光/双蚀刻(LELE)。 

该技术将给定的图案分成两个密度较小的部分。通过在光刻工艺中曝光光刻胶 ,然后蚀刻硬掩模,将第一层图案转移到下面的硬掩模上。然后将第二层图案 与第一层图案对准并通过第二次光刻曝光和刻蚀转移到硬掩模上。最终在衬底 上进行刻蚀,得到的图案密度是原始图案的两倍。

自对准的双重图案技术

自对准双重图案(SADP)技术是通过沉积和刻蚀工艺在心轴侧壁上形成的间隔物 ,然后通过一个额外的刻蚀步骤移除心轴,使用间隔物来定义所需的最终结构。因此特征密度增加了一倍。SADP技术主要用于FinFET技术中的鳍片形成、线的互连 以及存储设备中的位线/字线的形成,其关键的优点在于避免了在LELE期间时可能 发生的掩模不对齐。 

193nm浸没式光刻的SADP可以实现20nm的半间距分辨率


多重图案技术的应用

在EUV技术出现之前,技术人员利用193nm的光刻机,通过把镜头放在水里、相移掩模、 多重曝光的方法,一步步推进芯片技术节点,将摩尔定律一直延续到现在。 

将SADP加倍可以得到四重图案化工艺SAQP,使得193nm浸没式光刻可以实现到10nm的 分辨率。理论上是可以实现7nm节点工艺制程,但是需要的光罩数量非常多,工艺复杂, 量产难度大。

2.1光刻机:半导体工业皇冠上的明珠

光刻机是光刻工艺的核心设备,也是所有半导体制造设备中技术含量最高的设备, 包含上万个零部件,集 合了数学、光学、流体力学、高分子物理与化学、表面物理 与化学、精密仪器、机械、自动化、软件、图像识别领域等多项顶尖技术。 

作为整个芯片工业制造中必不可少的精密设备——光刻机,其光刻的工艺水平直接 决定芯片的制程和性能水平,因此光刻机更是被誉为半导体工业皇冠上的明珠。

光刻机工作原理图

光刻机总体构造解析

光刻机的发展历程

ASML成立于1984年,当时正是日本半导体如日中天的时代。日本半导体的成功背后,是尼康和佳能两大光学巨头的光刻设备,以及东京电子、日立、迪恩士等一系列配套厂商的支持。 

1994年ASML的市场份额只有18%,但设计超前的8英寸PAS5500以及1995年IPO给ASML带来了机遇。台积电、三星和现代(后来的Hynix)率先决定几乎全部改用ASML的机器,而1995年 东芝、西门子和IBM联盟考虑到和佳能的合作,开始没有选择ASML。 

最后的结局是:坚持尼康佳能的日系半导体厂商真正开始了长达数十年的衰败,而押宝ASML的 三大东亚厂商迅速崛起直到今天称霸。


2.2 光刻机的演变及历史性转折

光刻机发展的历史性转折点——浸没式光刻机技术

传统的光刻技术中,镜头与光刻胶之间的介质是空气,而浸没式技术是将空气介质换成液体,利用光通过液体介质后光源波长缩短来提高分辨率。 

20世纪90年代干式微影技术发展遇到瓶颈:光刻光源的波长无法从193nm缩短到 157nm。林本坚(TSMC研发副总经理)提出将透镜和硅片间的介质换成水,当时两大巨头尼康、佳能选择开发波长更低的光源,独独ASML决定和台积电合作研究“浸没式 ”解决方案。 

随着二者的合作开发,2003年成功推出第一台浸没式光刻机,成为市场上最先进的产品 ,收获大量客户,市场份额快速提高,宣告着浸没式技术将成为光刻界追逐的焦点。

光刻机价格变化

由于光刻机涉及系统集成、精密光学、精密运动、精密物料传输、高精度微环境控制等多 项先进技术,是所有半导体制造设备中技术含量最高的设备,因此也具备极高的价值,且 价格增长极快。 

2018年,中芯国际向芯片机器制造商ASML发出了第一张订单,订购了一台最新的EUV( 极紫外线)技术光刻机,机器价格为1.2亿欧元,于2019年交货。但目前由于种种阻碍, ASML迟迟未向中芯国际交货。


光刻机发展的历史性转折点——极紫外光刻技术

1997年ASML加入EUV LLC后,享受到的研究成果大大加快了其EUV的研发进度,2005年 摩尔定律陷入停滞,极紫外光刻技术被认为是制程突破10nm的关键,但由于技术难度极高 ,需要巨额的研发资金,尼康和佳能只得选择放弃。 

 而同时ASML仍然坚持投入研发,并积极向外寻求研发支持。2010年ASML推出第一台EUV 光刻机NXE:3100,2013年收购准分子激光源巨头Cymer,同年推出NXE:3300B,2017 年推出第三款EUV光刻机NXE:3400B。 

自此,ASML成为全球唯一一家能够设计和制造EUV光刻机设备的厂商,成为超高端市场的独家垄断者。

EUV光刻机的工艺需求

难点一:在ASML的光刻机中,光源需要以每秒五万次的频率,用20kW的激光来击打20微米的锡滴,使液态锡汽化为等离子体,从而产生极紫外光(EUV)。 

难点二:ASML的EUV光刻机可以实现13纳米的分辨率。 

难点三:ASML无尘室内的空气比外部干净1万倍,为了实现这个目标,无尘室的通风设备必 须每小时净化30万立方米的空气。 

难点四:在ASML的高数值孔径EUV设备中,为了能精确达到10纳米以下的线宽以及1纳米以 内的套刻精度,聚焦反射器必须非常平整。

EUV光刻机的技术优势

芯片追求更快的处理速度,需要缩短晶体管内部导电沟道的长度,而光刻设备的分辨率决定了IC的最小线宽,因此光刻机产品的升级就势必要往更小分辨率水平上发展。 

根据所使用光源的改进以及双工作台、沉浸式光刻等新型光刻技术的创新与发展,光刻机 共经历了5代发展,每次光源的改进都显著提升了光刻机的工艺制程水平,以及生产的效率 和良率。 

EUV光刻机主要技术优势如下:

1)更高的光刻分辨率;

2)生产效率高,光刻工艺简单。

但同时EUV光刻机也存在着许多问题:

1)耗能巨大,能量利用率低;

2)光学系统设计与 制造复杂;

3)光罩掩模版表面缺陷。

光刻机上游核心:光学镜片

高端光刻机含有上万个零部件,而光学镜片则是核心部件之一。高数值孔径的镜头决定了光刻机的分辨率以及套值误差能力,重要性不言而喻。 

EUV垄断者ASML的镜片便是以蔡司技术打底,Carl Zeiss(卡尔蔡司)是光学及光电子学 领域的绝对权威,长期以来为ASML的光刻设备提供最关键且高效能的光学系统。 

目前ASML已与卡尔蔡司公司合作开发出数值孔径为0.33的EUV光刻机镜头,并积极研发 下一代0.55高数值孔径光学系统,为推进3纳米及以下制程做努力。该光学系统与多重成像技术相比,成本将降低50%,周期时间将缩短3~6倍,并具备一流的套刻和聚焦性能。

光刻机上游核心:光源

光源则是高端光刻机另一核心部件,光源波长决定了光刻机的工艺能力。光刻 机需要体积小、功率高而稳定的光源。如EUV光刻机所采用的波长13.5nm的极 紫外光,光学系统极为复杂。 

2013年ASML收购了全球领先的准分子激光器厂商Cymer,加速了EUV光源技术的发展,为光源技术提供了保障。

3


 

光刻机的全球视角

光刻机的全球市场空间。受益于下游需求旺盛,光刻设备有望量价齐升带动市场空间 不断增长。量:晶圆尺寸变大和制程缩小将使产线所需的设备数量加大,12寸晶圆产 线中所需的光刻机数量相较于8寸晶圆产线将进一步上升。同时预计2020年随着半导体产线得到持续扩产,光刻机需求也将进一步加大。价:随着芯片制程的不断升级, IC前道光刻机制造日益复杂,其价格不断攀升。 

光刻机的全球市场格局。目前光刻机行业已经成为一个高度垄断的行业,行业壁垒较高,全球前道制造光刻机市场基本被ASML、尼康、佳能垄断,CR3高达99%。ASML一家独占鳌头,成为唯一的一线供应商,Nikon高开低走,但凭借多年技术积累,勉强保住二线供应商地位;而Canon只能屈居三线;上海微电子装备(SMEE)作为后起之秀,暂时只能提供低端光刻设备。 

对标ASML:他山之石可以攻玉。在IC前道光刻机领域,ASML一家独大,高端EUV 光刻机市占率高达100%。

总结ASML的崛起之路:

1、在全球维度,通过并购、入股获取光刻机各项关键子系统的尖端技术,贯通上游产业链,再进行整机集成;

2、针 对顶尖工艺的巨额研发投入。

晶圆厂资本开支加速带动设备需求

2021年将会是全球晶圆厂设备支出的标志性一年,增长率为24%,达到创纪录的677亿美元,比先前预测的657亿美元高出10%,所有产品领域都有望实现稳定增长。 

存储器工厂将以300亿美元的设备支出领先全球半导体领域;其次是领先的逻辑和代工厂, 预计将以290亿美元的投资排名第二。 

从产业趋势来看,存储器厂成为投资主力,基于存储芯片龙头三星、海力士及美光二季度 数据,服务器云计算、5G基础建设将会带动相关芯片需求增长。


光刻机全球市场未来预测

受益于下游需求旺盛,光刻设备有望量价齐升带动市场空间不断增长。 

价:随着芯片制程的不断升级,IC前道光刻机制造日益复杂,其价格不断攀升。先进制程发展使得晶体管成本降低,但是光刻机价格不断增高。目前7nm EUV光 刻机平均每台价格达到了1.2亿欧元。 

量:晶圆尺寸变大和制程缩小将使产线所需的设备数量加大,性能要求变高。12 寸晶圆产线中所需的光刻机数量相较于8寸晶圆产线将进一步上升。同时预计 2020年随着半导体产线得到持续扩产,光刻机需求也将进一步加大。

全球格局三足鼎立,ASML龙头地位突显

目前全球光刻设备的格局是:ASML一家独占鳌头,成为唯一的一线供应商,旗 下产品覆盖了全部级别的光刻机设备;Nikon高开低走,但凭借多年技术积累, 勉强保住二线供应商地位;而Canon只能屈居三线;上海微电子装备(SMEE) 作为后起之秀,暂时只能提供低端光刻设备,由于光刻设备对知识产权和供应链要求极高,短期很难达到国际领先水平。 

目前光刻机行业已经成为一个高度垄断的行业。如果没有特别原因,这一格局在未来的时间里都很难发生变化。

从全球角度来看,半导体前道光刻机长期由ASML、尼康和佳能三家把持,从 2012-2019历年全球半导体前道光刻机出货比例可以看出,ASML,尼康,佳能 三家公司几乎占据了99%的市场份额,其中ASML光刻机市场份额常年在60%以 上,市场地位极其稳固。

ASML:高端光刻机的龙头

ASML成立于1984年,是世界领先的半导体设备制造商之一,其唯一产品类型就是集成电路制造环节中最核心的设备——光刻机。 

对内:ASML不断投入巨额研发费用,集 合美国、欧洲科研力量,掌握了EUV光刻机的核心技术 ,从而奠定了在高端光刻机的龙头地位。 

对外:通过并购竞购竞争对手,不断布局光刻机领域关键技术;同时加强与三星,英特尔和台积电等世界顶级芯片制造商的通力合作。ASML通过携手行业上下游,不断巩固市场龙头地位。

ASML旗下的TWINSCAN系列是目前世界上精度最高,生产效率最高,应用最为广泛的高端光刻机型。最新的TWINSCAN NXE:3400C可用于生产5nm的芯片,2019年共交付了9台 。目前全球绝大多数半导体生产厂商,都向ASML采购TWINSCAN机型。 

市场上主力机种是XT系列以及NXT系列,为ArF和KrF激光光源,XT系列是成熟的机型,分为干式和沉浸式两种,而NXT系列则是现在主推的高端机型,全部为沉浸式。 

预估2021年将推出0.55NA的新机型EXE:5000样机,可用于2纳米生产。

ASML为了筹集EUV光刻机的研发资金,于2012年提出“客户联合投资计划”:客户可通过注资的方式成为股东后拥有优先订货权。这样一来,ASML的研发资金压力转移到了客户身上,客户需要为先进光刻技术的研发买单,但同时也会拥有对先进技术的优先使用权。该计划一经推出,ASML以23%的股权共筹得53亿欧元资金。 

ASML在2019年共向客户交付了26台极紫外光刻机。其中,有9台是最新型号,即 NXE:3400C,这些新型号的光刻机被用于7nn EUV工艺的制造。其中有一半给了台积 电,其余给了三星、英特尔等有晶圆业务的公司。


ASML:稳固的产业生态塑造极强的护城河

ASML:光刻机营收按产品及地区划分

从光刻机收入按产品拆分可以看出,目前ASML的主流光刻机仍为ArFi,2019年营收占比为 53%,但随着EUV被更多大厂采用,我们认为EUV占比在未来几年会迅速增长。 

从ASML光刻机销售净额可以看到,三星,海力士,台积电,英特尔作为ASML的大客户,韩 国,中国台湾以及美国成为了ASML光刻机的主要出货地区,2019年销售净额占比分别为 18%、45%和17%。

ASML:拳头产品EUV的优势

光刻机行业发展趋势:EUV成为大势所趋

ASML当前的生产是使用0.33NA数值孔径系统完成的,未来计划在3nm处引入 0.55NA的形变镜头,可以提高光刻机的分辨率和生产率。 

毫无疑问,EUV现在是用于领先工艺的关键光刻的首选解决方案,将支持未来十 年的应用。

ASML中国业务随着行业的发展而增长

ASML在中国的布局逐渐深化,设立了多家工厂、研发中心,拥有1000多名员工。随着 国产光刻机业务的不断发展,ASML在中国的业务也不断增长。

受益于5G时代、AI、自动驾驶等技术的普及,ASML 光刻机的订单和收入强劲增长有望在 未来几年持续,2025 年ASML预计实现收入500亿美元左右,年均复合增长率为15.8%。

4


 

光刻机国产化之路:前路漫漫,曙光微现

02专项:众志成城,技术突破。在 02专项的十三五规划中,突破28nm浸没式光刻机及核心组件被列入战略目标,举全国之力,汇集顶级科研人才开启“光刻机双工件台 系统样机研发”项目、“超分辨光刻装备研制”项目、“极紫外光刻关键技术研究” 项目,现均取得重大突破。 

国产光刻机市场空间与工艺水平剖析。随着第三次全球半导体产业向中国转移,国内 晶圆厂投资加速,光刻机作为新建晶圆厂的核心资本支出,市场空间进一步打开。28nm作为当前关键技术节点,工艺制程从90nm突破至28nm,对于国产替代具有重 大战略意义。 

探寻国产光刻机产业链投资机会。实现光刻机的国产替代并不是某一企业能够单独完 成的,需要光刻产业链的顶尖企业相互配合。光刻产业链可拆分为两个部分,一是光刻机核心组件,包括光源、镜头、双工作台、浸没系统等关键子系统,二是光刻配套 设施,包括光刻胶、光掩模版、涂胶显影设备等。上海微电子将在2021年交付的 28nm光刻机正是源于以下核心企业以举国之力在各自细分领域的技术突破:上海微电子负责光刻机设计和总体集成,北京科益虹源提供光源系统,北京国望光学提供物镜系统,国科精密提供曝光光学系统,华卓精科提供双工作台,浙江启尔机电提供浸没系统。

02专项:众志成城,技术突破

02专项——极大规模集成电路制造技术及成套工艺专项

为推动我国集成电路制造产业的发展,提升我国集成电路制造装备、工艺及材料技术的自主创新能力,充分调动国内力量为重大专项的有效实施发挥作用,国家决定实施科技重大专项 《极大规模集成电路制造装备及成套工艺》项目。 

《极大规模集成电路制造技术及成套工艺》项目,因次序排在国家重大专项所列16个重大专 项第二位,在行业内被称为“02专项”。


02专项“光刻机双工件台系统样机研发”项目

2016年4月,清华大学牵头的02专项“光刻机双工件台系统样机研发”项目成功 通过验收,标志中国在双工件台系统上取得技术突破。 

研究团队历经5年时间突破了平面电机、微动台、超精密测量、超精密运动控制、 系统动力学分析、先进工程材料制备及应用等若干关键技术,攻克了光刻机工件 台系统设计和集成技术,通过多轮样机的迭代研发,最终研制出2套光刻机双工件 台掩模台系统α样机,达到了预定的全部技术指标,关键技术指标已达到国际同 类光刻机双工件台的技术水平。

光刻技术是集成电路制造产业的核心,决定着集成电路的元件特征尺寸。伴随半导体产业 摩尔定律延续,极紫外光刻(EUVL)被公认为是最具潜力的下一代光刻技术。 

极紫外光刻:使用波长为13.5nm的极紫外光,是传统投影光刻技术向更短波长的延伸, 正处于产业化的临界点。作为工业制造领域尖端技术的融合,世界上只有少数几家研究机 构及公司掌握此技术。目前,EUV光刻技术的国际垄断局面已经初步形成,目前全球只有 ASML一家能够提供波长为13.5nm的EUV光刻设备。

2016年11月15日,由长春光机所牵头承担的国家科技重大专项02专项——“极紫外光刻关键 技术研究”项目顺利完成验收前现场测试。在长春光机所、成都光电所、上海光机所、中科院 微电子所、北京理工大学、哈尔滨工业大学、华中科技大学等参研单位的共同努力下,历经八 年的戮力攻坚,圆满地完成了预定的研究内容与攻关任务,为我国光刻技术的可持续发展奠定 了坚实的基础。突破了现阶段制约我国极紫外光刻发展的核心光学技术,初步建立了适应于极 紫外光刻曝光光学系统研制的加工、检测、镀膜和系统集成平台, 

项目成果:在EUV光学系统协同设计、膜厚控制精度达原子量级的EUV多层膜技术、深亚纳米 量级的超光滑非球面加工与检测技术、超高精度物镜系统波像差检测及集成技术等方面,突破 了一系列EUVL工程化关键技术瓶颈;成功研制了小视场EUVL曝光光学系统,投影物镜波像差优于0.75nm(RMS),构建了EUVL静态曝光装置,获得32nm线宽的光刻胶曝光图形;建立了 EUVL关键技术验证及工艺测试平台。

02专项“超分辨光刻装备研制”项目

2018年11月,中科院光电技术研究所承担的“超分辨光刻装备研制”项目通过验收,该装 备在365nm光源波长下,单次曝光最高线宽分辨力达到22nm,项目在原理上突破分辨力衍射极限,建立了一条高分辨、大面积的纳米光刻装备研发新路线。 

此次装备打破了传统路线格局,形成一条全新的纳米光学光刻技术路线,具有完全自主知识 产权,为超材料/超表面、第三代光学器件、广义芯片等变革性领域的跨越式发展提供了制 造工具。装备制造的相关器件已在中国航天科技集团公司第八研究院、电子科技大学、四川 大学华西医院、中科院微系统所等多家科研院所和高校的重大研究任务中得到应用。

瓦森纳协定及新增——中国光刻机发展的坎坷之旅

 《瓦森纳协定》:又称瓦森纳安排机制,全称为《关于常规武器和两用物品及技术出口控制 的瓦森纳协定》,目前共有包括美国、日本、英国、俄罗斯等42个成员国(不包括中国)。 

瓦森纳协定新增:2019年年底,就在国内12英寸大硅片(300mm晶圆)项目纷涌而起之际新修订的《瓦森纳协议》中,增加了两条有关半导体领域的出口管制内容,主要涉及计算光 刻软件以及12英寸大硅片生产制造技术。 

控制清单:1、军品清单,包含各种武器弹药、军事装备等;2、针对军民两用的一些产品及 技术的清单,包括特殊材料及相关设备、材料加工、电子、计算机、电子通信与信息安全、 传感与激光、航海与航空、船舶、航空推进系统共九个大类。

中国晶圆厂布局现状

光刻机作为集成电路设备产业的重要组成环节,二者之间的关系密不可分,国内晶圆 厂的扩建速度越快,对于光刻机的需求越迫切。 

近年来,多个12英寸晶圆厂项目落地中国大陆。SEMI的数据显示,2017-2020年间 全球投产的半导体晶圆厂为62座,其中有26座设于中国大陆,占全球总数的42%。 

目前中国大陆12英寸晶圆厂的投资及生产情况:中国大陆在12英寸晶圆厂方面已投 资数千亿美元,产品涉及多个领域与制程,多个项目已经在运行当中,其余项目将在 未来2-3年内陆续投产。除去目前已经停摆的两个项目(成都格芯和德科玛南京), 目前中国大陆共计有31座在建/已建的12英寸晶圆厂,28座8英寸在建/已建/规划中 的8英寸晶圆厂,项目主要集中在北京、成都、重庆及江浙一带。

中国大陆12英寸Fab项目布局情况

当前中国光刻机工艺水平

从2009年开始算起,中国研究团队一路攻坚克难,国产首套90纳米高端光刻机已经成功研制 。2020年6月,上海微电子设备有限公司透露,将在2021-2022年交付首台国产28nm工艺浸没式光刻机。消息一出,意味着国产光刻机工艺从以前的90nm一举突破到28nm。 

国产90nm光刻机交付意义:对于7nm顶尖制程存在较大差距,但像手机内部主板上的射频芯片、蓝牙芯片、功放芯片、路由器上的芯片、各种电器的驱动芯片等用的还是28-90nm工艺的芯片。 

光电所微细加工光学技术国家重点实验室研制出来的SP光刻机是世界上第一台单次成像达到 22纳米的光刻机,结合多重曝光技术,可以用于制备10纳米以下的信息器件。这不仅是世界上光学光刻的一次重大变革,也将加快推进工业4.0,实现中国制造2025的美好愿景。

当前中国光刻机工艺进程

IC前道光刻机:由于技术最为复杂、难度最大,因此需求量和价值量在所有光刻机中都是最高 的,中国目前与国外先进水平存在不小差距,也是急需突破的关键领域。 

国 内 光 刻 机 市 场 ,除 了 应 用 于 IC 前道的 光 刻机在 不 断 的 发 展 之 外 ,封 装光刻 机 以 及 LED/MEMS/功率器件光刻机的市场也不断的发展壮大中。其中后面两者国产化率较高。 

上海微电子在封装光刻机领域已经实现了批量供货,是多家封测龙头企业(日月光、通富微电 、长电科技等)的主要供货商,国内封装光刻机市场占有率高达80%。不只在国内市场有所建 树,上海微电子的封装光刻机还出口海外市场,在全球市场的占有率高达40%。 

面板(FPD)领域:国产光刻机厂商也在不断渗透,国际光刻机巨头尼康、佳能在FDP光刻机 市场处于垄断地位,但FPD产能正在不断向国内转移,国内FPD产业正处于高速发展阶段。

600系列光刻机 —— IC前道制造

前道制造光刻机对制程要求较高,目前SMEE量产的是90nm制程,未来1~2年可实现最高 28nm制程。目前,我国从事集成电路前道制造用光刻机的生产厂商只有上海微电子装备(集 团)股份有限公司(SMEE)和中国电科(CETC)旗下的电科装备。 

SSX600系列步进扫描投影光刻机作为前道制造光刻机,采用四倍缩小倍率的投影物镜、工艺 自适应调焦调平技术,以及高速高精的自减振六自由度工件台掩模台技术,可满足IC前道制 造90nm、110nm、280nm关键层和非关键层的光刻工艺需求。该设备可用于8寸线或12寸 线的大规模工业生产。


500系列光刻机 —— IC后道先进封装

作为IC后道先进封装设备,SSB500系列步进投影光刻机不仅适用于晶圆级封装的重新布线( RDL)以及Flip Chip 工艺中常用的金凸块、焊料凸块、铜柱等先进封装光刻工艺,还可以通 过选配背面对准模块,满足MEMS 和2.5D/3D封装的TSV光刻工艺需求。 

由于前道光刻机的产业化应用难度非常高,相比较而言,后道的先进封装对光刻机的应用越 来越广泛,门槛也相对较低,可以先行进入。SMEE抓住这个契机,开发了适用于先进封装行 业的500系列光刻机。此前,后道光刻机完全依赖于进口,而今SMEE已经占领了80%以上的国内市场。

300系列光刻机 —— LED、MEMS、Power Devices制造

SSB300系列光刻机面向6英寸以下中小基底先进光刻应用领域,满足HB-LED、 MEMS和Power Devices等领域单面或双面光刻工艺需求,占有率达20%左右。 

产品特征:高分辨率,分辨率可达0.8μm;高速在线MAPPING技术;高精度拼接 ;多尺寸基底自适应切换;高产能;高精度套刻;完美匹配ALIGNER。

200系列光刻机 —— TFT曝光

SSB200系列投影光刻机采用先进的投影光刻机平台技术,专用于AM-OLED和LCD显示 屏TFT电路制造,可应用于2.5代~6代的TFT显示屏量产线。该系列设备具备高分辨率、 高套刻精度等特性,支持6英寸掩模,显著降低用户使用成本。 

产品特征:高精度,分辨率可达1.5μm;支持小Mask,可用6英寸掩模实现12英寸屏幕 制造;具备智能化校准及诊断功能,方便设备参数校调及用户周期性维护;快速灵活的客制化服务。

来源:锂电那些事
复合材料化学电路半导体光学航空航天船舶电子芯片云计算理论电机材料控制
著作权归作者所有,欢迎分享,未经许可,不得转载
首次发布时间:2023-06-26
最近编辑:1年前
锂电那些事
锂电设备、工艺和材料技术研发应
获赞 195粉丝 161文章 1977课程 0
点赞
收藏
未登录
还没有评论
课程
培训
服务
行家
VIP会员 学习 福利任务 兑换礼品
下载APP
联系我们
帮助与反馈